diff --git a/src/i18n/sv-SE.yml b/src/i18n/sv-SE.yml new file mode 100644 index 0000000..0967ef4 --- /dev/null +++ b/src/i18n/sv-SE.yml @@ -0,0 +1 @@ +{}